Credits: Disclosure: Intel

Intel gave a press presentation detailing its future generation of processors for compact devices, codenamed Lunar Lake, and just like Meteor Lake, the changes were quite profound.

The company made changes to all processor structures, with new performance and efficiency cores, the end of low-power cores and jumps in the product’s GPU and NPU.

In addition to the very low consumption output present in the Meteor Lake SOC, the company surprised by including, for the first time, memory in the same processor package. And another unexpected move was the removal of Hyper-thread, a classic technology from its products, in this new generation of processors.

Lunar Lake enters the fray

The company has been under pressure from competitors such as Apple and its own platform, the Apple M4, and also the news coming with full force: Qualcomm and its Snapdragon X Elite, compatible with Windows and x86 applications. And of course AMD is still there, always keeping an eye on opportunities to gain space in the market.

If Intel has already been criticized for the lack of dynamism and innovations in new generations in the past, it is impossible to die of boredom with the major changes that Lunar Lake will bring.

Reorganizing the house

Lunar Lakes significantly change their structure compared to Meteor Lakes. The amount of dies that make up the product has been greatly reduced, and now the compute tile and the controller platform tile, just. There is a third tile, the fillerwhich only has the function of filling space.

No Compute tile, or in the computing block, in a loose translation, we have the performance (P-Cores) and efficiency (E-Cores) cores. Here we already have an important change, which is the departure of LP-Cores, the high efficiency cores that were present in the SOC Tile in Meteor Lake. According to Intel, the leap in energy efficiency of the Lunar Lake E-Cores made the presence of these very low consumption processing cores unnecessary.

In addition to the CPU cores, we have the NPU, for highly efficient AI flows, and the GPU, featuring second generation Intel Xe integrated graphics. The Media and Display Engine close this block.

This block is manufactured by TSMC using the 3nm process, and here we have another important change: while Meteor Lake used some tiles manufactured by TSMC, such as the GPU, and others by Intel itself (the Compute one), Intel is going 100% in product design and leaving manufacturing to TSMC. The exception is the use of Intel 1227.1, the basis of Intel Foveros technology that unites the structures that make up Lunar Lake.

Controller block

The Platform Controller Tile will also be manufactured by TSMC, using the 6N process, and follows the rest of the structures, including wireless connectivity upgraded to WiFi 7 and Bluetooth 5.4, up to 3 Thuderbolt 4 ports and various adjustments that include changing the memory frequency To reduce its impact on WiFi speed, connect simultaneously on different wireless frequencies to improve bandwidth and signal consistency

And closing the Lunar Lake structures, one of the most unexpected was the inclusion, for the first time by Intel, of memory embedded in the processor package. Intel will bring models with two modules of 8GB and 16GB LPDDR5X, totaling 16 or 32GB of memory available in the product.

If on the one hand we have the obvious disadvantage of making memory upgrades unfeasible, on the other the bandwidth is greatly increased, providing support for 8500MTS, a 40% reduction in power consumption in idle mode and a large reduction in the area occupied by memories. . All these very welcome features for a notebook.

New cores

Intel will introduce a new generation of cores for both the P-Cores, focused on performance, and the E-Cores, focused on efficiency. Starting with the P-Cores news, we have one of the biggest surprises.

Intel is focusing on performance gains per thread, and this has brought an unexpected change: the end of Hyper Thread. This technology was introduced in 2002 with the Pentium 4, and became a constant in the company’s products. In fact, removing Hyper Thread was already a way of differentiating products like the Core i3 and i5 and their more “premium” counterparts in the Core i7 line.

According to Intel, Lion Cove will deliver performance and efficiency gains by abandoning Hyper Thread. This is an interesting tradeoff, as the HT delivers more performance per die area, but according to Intel, it delivers 5% more performance per watt and an aggregate of 15% more performance per watt and per area, turning off the technology .

This change brings a significant reduction in the number of threads in Meteor Lake versus Lunar Lake. A model like the Intel Core Ultra 7 165H has 22 threads, something that will be reduced to just 8 threads in Lunar Lake.

Many of the everyday usage cycles of lighter devices don’t scale as much in threads. The strategy of losing parallelism to gain performance per thread makes sense for most applications. And in practice, 8 thread count is still a good value, especially if each of them has the ability to perform high IPC (instructions per clock).

The company estimates gains above double digits comparing Lion Cove products versus Redwood Cove, with particular emphasis on the low consumption ranges, where the advantage reaches 18%. Part of this optimization comes from a new gradation in clocks.

While Meteor Lake operated in bands every 100MHz, Lunar Lake will make variations within intervals of just 16MHz, making it possible to set more optimized values ​​for different workloads.

New P-core efficiency cores

For the efficiency cores, the Skymont, the focus was on range and also performance, managing to go from low consumption levels sufficient to retire the LP E-Cores from Meteor Lake, and with maximum performance gains capable of, according to Intel, reach the performance level of last generation P-Core cores.

Lunar Lake will work with four efficiency and four performance cores, with different consumption and performance curves being met by each of these cores. P-Cores deliver up to 50% more performance, while E-Cores consume 20 to 80% less energy.

Intel Thread Director

With a new core restructuring, Intel also brought a new way of operating the Intel Thread Director. This technology is responsible for distributing processing cycles in this heterogeneous scenario of cores, defining when it is a P-Core or an E-Core that will perform a function.

The Thread Director has a very important role in Intel’s hybrid microarchitecture, after all, it is the one that needs to balance performance and autonomy when distributing services between the cores.

In Alder Lake and Raptor Lake, functions were moved between E-cores and P-cores regularly, seeking better optimization. In the last generation, we had the “dam” concept, where the computer first allocated the low-power cores, then the E-cores and then sent them only to the P-cores if demand remained high.

Now Lunar Lake follows a similar logic, but as there are no more LP E-cores, they start by allocating the E-cores and if the application exceeds their capacity, then the performance cores are allocated. You can get an idea of ​​what this is like in the graph below, which starts with just the E-cores allocated, but as more performance is required, the P-cores also come into play.

Desktops

It is even talking about this organization of cores that we see one of the few direct mentions of the desktop platform. Desktop computers will not follow this Lunar Lake logic in notebooks, as the focus is more on multithreaded performance, serving users who will use heavy rendering applications, for example.

So on desktops we will have a logic more similar to that of previous generations, such as Raptor Lake, where the cluster of efficiency cores will bring much more E-core. Here the objective is to use E-cores to bring high core density, increasing the parallelism and multithreading power of desktop computer processors.

Xe2 Graphics

Lunar Lake will come equipped with the second generation of Intel Xe technology for graphics. The highlights of the Xe2 include performance gains of around 50% and support for new technologies, such as VVC.

To achieve performance gains, Intel Xe2 graphics bring improvements in multiple aspects of the architecture, such as a new Render Slice that tripled the capacity of vertex fetch and mesh shading, brought greater amounts of cache and also a new, more powerful Ray Tracing unit. .

In the basic structure, Lunar Lake maintains the same composition of 8 Intel Xe units, but the switch to the second generation brought an increase of approximately 50% in performance, with the iGPU being able to either deliver more maximum performance, or deliver the same level of performance of the previous generation at much lower consumption levels.

And in technologies we have the introduction of a new encoder. VVC brings improvements in both data compression capacity and final image quality, managing to compress the video by 10% more compared to the recent AV1.

The main highlight of VVC are scenarios with large amounts of text on the screen. These smaller patterns, with a lot of characters, tend to bring more compression artifacts and make reading much more difficult.

As VVC the image arrives with the sharpest characters, and will be especially relevant for users who are streaming their screens for remote use.

Another advantage of VVC is greater efficiency in changing resolutions throughout a stream. When there is oscillation in the network, excessive compression in the image is common. VVC deals better with this variation in bitrate and maintains a better quality level even in more unstable network situations.

Fourth generation NPU

Lunar Lake introduces the fourth generation of Intel’s AI acceleration technology. The AI ​​engine of the new processors received a huge increase in performance, increasing its capacity by 4x compared to what is available in Meteor Lake.

This performance leap is important as it makes the Lunar Lake NPU compatible with the demands of Windows CoPilot+, which requires at least 40 TOPS (trillion instructions per second) to operate correctly. Only the NPU already meets this specification, delivering 48 TOPS.

In addition to the NPU, the CPU delivers another 5 TOPS, and the GPU is capable of delivering 67 TOPS, totaling around 120 TOPS of total Lunar Lake chip performance for AI-focused applications.

Looking at a practical test, using Stable Difusion, the Lunar Lake platform increased its consumption to around 11.2 average watts versus the 9 watts of Meteor Lake. But as it reduced the time to complete interactions by less than a third, the result is almost 3x more testing efficiency.

Source: https://www.adrenaline.com.br/intel/lunar-lake-explicado-intel-mais-uma-vez-redesenha-seus-processadores/



Leave a Reply

Your email address will not be published. Required fields are marked *